Facilities

The cMDIS supports major experimental facilities:

The Micro and Nanofabrication Cleanroom (MNCR) contains a broad range of processing, measurement, and fabrication tools enabling researchers to create new strcutures, devices, and systems at the micro and nanoscale.
Click here for more information on instruments, scheduling and trainings.

The Nanoscale Characterization Core (NCC) provides a powerful suite of imaging, spectrometry, and diffraction instruments to interrogate structure, chemistry, and other properties from the atomic- to microscales.
Click here for more information on instruments, scheduling and trainings.

Polymer Processing and Characterization Core is a combination of laboratories to prepare and process polymers and composite materials with testing and characterization capabilities at the nano and micro scale.
Click here for more information on instruments, scheduling and trainings.

Category
Title Category Description Contact
4pt Sheet Resistance Thin Films

The co-linear four point probe system measures sheet resistance values from 0.1 milli-ohm per square up to 99 kilo-ohm per square.  Thin films on samples less than 3” in diameter can be used with the system.

Sarah An
Accent Hall Effect Measurement Electrical Testing

The Accent hall effect tool is a turn-key system for measurement of resistivity, carrier concentration and mobility semiconductor materials. Samples can have Van der Pauw, bar, or bridge shaped geometries can all be used.

Sarah An
Acid Wet Bench Etching

The general purpose wet bench handles a majority of required aqueous chemistries within the cleanroom.  Small pieces through 8” wafers can be accommodated using various provided PTFE holders.

No solvents or heavy metals.

Sarah An
AJA RF/DC Sputter Coater Deposition

The AJA Orion system is capable of both RF and DC based magnetron sputtering in a high vacuum turbo pumped chamber.  Four targets are installed concurrently and can enable co-sputtering.  3” samples can be heated, via an IR lamp, up to 600C.

No toxics. 

Kent A. Way
Allied High Tech Polish/Grind/Lap Ancillary

The Allied MultiPrep system enables precise semiautomatic sample preparation of a wide range of materials for microscopic (optical, SEM, FIB, TEM, AFM, etc.) evaluation.

Capabilities include parallel polishing, angle polishing, site-specific polishing or any combination thereof.  Samples up to 3” can be mounted onto the platen assembly and polished with diamond embedded film and/or slurries.

No toxics. 

Sarah An
Applied Materials P5000 PECVD Deposition

The Applied Materials P5000 has two dedicated chambers for dielectric PECVD.  One utilizes a TEOS/O2 chemistry for silicon dioxide and the other is SiH4/NH3 capable for silicon nitrides.  Both can process up to 8” wafers and deposit films multiple microns thick.  Nominal operating temperature is ~400C.

No volatiles, toxics, glass or metals without staff permission.

Sarah An
Atomic Force Microscope Microscopy

Digital Instruments Multimode IIIa Atomic Force Microscope (AFM) can obtain 3D surface topography at nanometer scale resolution, to measure contact and friction forces between surfaces.

Modes of Operation:

  • Contact (regular, lateral, and current imaging) mode imaging
  • Tapping (regular, electric, and magnetic)
  • Fluid cell

Available scanners:

  • J-type, 125 um x 125 um, z 4.33 um
  • E-type, 10 um x 10 um, z 1.6 um
Deniz Rende
Auger Electron Spectroscopy Spectroscopy

The AES system provides detailed surface chemistry at the sub-10 nm scale. It operates by spectroscopy of Auger electrons (a multi-electron emission process) that are generated by an ultra-fine scanning electron probe. Chemical information from regions as small as 10 nm may be obtained, with chemical sensitivity of a few parts per thousand. The Auger signal is obtained from regions within about 1 nm of the surface, and an integrated ion sputtering enables the surface to be continuously removed, so that chemical depth profiles can be obtained.

Katharine Dovidenko
Bruce Horizontal Furnace Annealing

The Bruce is a high temperature, three tube, atmospheric, horizontal furnace.  It can accept up to 5” wafers and has a maximum operating temperature of 1100C.  Tube 1 is dedicated to CMOS compatible dry oxidations.  Tube 2 is capable of dry oxidations, wet oxidation and inert anneals.  Tube 3 is used for solid source phosphorus doping.

No volatiles or glass in any tube. CMOS compatible materials only in tube 1. Metals in tube 2 and tube 3 require staff permission.

Sarah An
Bruker Optical Profilometer Thin Films

The optical profilometer is a non-contact method to study sample surface topography in three dimensions.  Vertical resolution can be on the order of ten of nanometers with a trade off in field size.  Samples of all sizes can typically be accommodated.

John Barthel
Carl Zeiss 1540EsB Crossbeam Microscopy

The Zeiss 1540EsB Crossbeam is a FIB/SEM system. It has a FESEM electron column which has excellent imaging performance with resolution approaching the 2nm range. It also has a Ga ion column which allows for milling (sputtering) of materials from the sample. The system can also deposit Pt, W, and SiOx from precursors installed in the Gas Injection System. The GIS also has 2 etch gases including XeF2 and H2O.  There are multiple detector options for different imaging modes.

M. David Frey
Carl Zeiss Supra 55 FESEM Microscopy

The Zeiss SUPRA 55 is a FESEM with a Schottky thermal field emission source. It has an ultimate imaging resolution in the range of 2nm. The SUPRA has multiple detectors for Secondary Imaging as well as Backscatter. The system has been setup with a blanker and a control system that can be used for doing electron beam lithography.

M. David Frey
Confocal Raman Microscope Spectroscopy

WITec Alpha 300R Confocal Raman imaging system has the ability to acquire chemical information non-destructively with a high resolution (~ 200 nm). The system is equipped with 532 nm green laser, max. 17 mW power.

Raman General Operation Modes:

Deniz Rende
CorSolutions Microfluidic Station Ancillary

This probe station makes quick, non-permanent, compression connections to microfluidic devices. High precision, programmable pumps can deliver minute constant controlled flows or flows with biomimetic modulations. A microscope and video capture system can document flow parameters and visualizations.

No solvents. 

Sarah An
CVC DC Sputter Coater Deposition

The CVC is a cryo pumped high vacuum system for PVD sputtering of conductive targets. Up to 8” substrates can be processed and four targets are concurrently installed for use serially.

No toxics. 

Kent A. Way
Dektak 6 Profilometer Thin Films

The Dektak 6 is a semi-manual contact profilometer capable of measuring vertical steps from tens of nanometers to 1mm in depth.

Sarah An
Dektak 8 Profilometer Thin Films

The Dektak 8 is a contact profilometer capable of measuring vertical steps from tens of nanometers to 1mm in depth.  Scan lengths of up to 50mm can be used on samples as large as 8” wafers.

Sarah An
Denton Pt Sputter System Sample Preparation

The Denton is a tabletop sputter coater most commonly used for SEM sample preparation.  It is equipped with a platinum target for depositing a very thin conductive film on the sample surface.  Maximum sample size is approximately 2”.

 

M. David Frey
Despatch Convection Oven Annealing

The Despatch is a high uniformity convection oven commonly used for resist post bakes.  The oven is typically kept at 120C but has the ability to achieve ~200C.  All baking is at atmospheric pressure in room air.

Sarah An
Developing Bench Lithography

The develop bench is used as part of the lithography process to dissolve away non-cross linked polymeric films (photoresist).  Submersion based development can be accommodated for samples up to 8” in diameter.

Aqueous hydroxide based develop solutions only.

Sarah An
Dual Beam SEM and FIB Microscopy

The VERSA 3D Dual Beam combines scanning electron microscopy (SEM) and Focused Ion Beam (FIB) in a single platform that allows switching between two modes of operation. The samples can be milled via ion beam and deposited with platinum while observing the process. The milling and deposition processes can be performed on pre-adjusted patterns as well as externally provided patterns. In addition to secondary electron imaging, backscattered electron imaging is available where the two images can be combined to observe the contribution of each.

Katharine Dovidenko
Edwards Thermal Evaporator Deposition

The Edwards thermal evaporator is a cryo-pumped system for depositing thin films.  It is currently configured with two power sources capable of performing a binary sequential or co-evaporative process.

No toxics. 

John Barthel
Electrostatic manipulator Ancillary

Electrostatic manipulator can be used to lift-out TEM samples as well as lift and move micron sized flakes and particles into positions of interest.

M. David Frey
Filmetrics F40 Interferometer Thin Films

The Filmetrics F40 interferometer provides users with rapid thin film thickness and optical constants measurements on most common device film stacks.  A 30um spot size can be placed on patterned samples, from pieces up to 8” wafers.  Transparent films from ~50nm to tens of microns can be measured.

Sarah An
FineTech Die Bonder Ancillary

The Fineplacer system is a multipurpose bonder which offers ~5um placement accuracy.  This versatile platform is used for various applications such a flip chip bonding, die bonding and micro-assembly.

Sarah An
Flood UV Exposure / Ozone Clean Etching

The UV flood exposure system is a fast and easy way to utilize ozone and oxygen radicals species to clean organics from a sample surface.  The system can also be used as a surface modifier for oxidation and terminal bonds.  Samples up to 6” in diameter can be processed in the machine.

Sarah An
Fourier Transform Infrared Spectroscopy Spectroscopy

The Perkin Elmer Spectrum One FT-IR Spectrometer works in a range of 4000 - 350 cm-1 with a 0.5 cm-1 resolution and equipped with a mid-range Deuterated triglycine sulfate (DTGS) infrared detector.

Available sample holders

Deniz Rende
Headway Manual Spin Coater Lithography

This Headway system is used is spin coat liquid materials, solutions or suspensions.  The spindle is controlled manually using the installed rotational tachometer.  Up to 4” substrates can be processed.

 

Sarah An
Headway Spin Coater Lithography

The Headway spin coater uses centrifugal force to uniformly coat fluids across a substrate surface. Small pieces through 6” wafers can be processed through the coater with the correct corresponding spin chuck.  The programmable controller can handle multistep recipes with spin spins up to 800RPM.

All photoresist materials and/or staff approved materials only.

Sarah An
Heatpulse Rapid Thermal Anneal Annealing

The Heatpulse RTA is a radiation based rapid thermalization tool capable of processing up to 4” wafers.  Offered atmospheric gas ambients include N2, Ar and O2.  Controlled temperature capability runs from 300C to 1000C with exposure durations on the scale of minutes.

No volatiles, toxics, or glass without staff permission.

Sarah An
High Temp 1” Single Tube Furnace Annealing

The anneal system is a high temperature convective tube furnace capable of processing up to 0.5” samples.  Offered atmospheric gas ambients include Ar and O2.  Controlled temperature capability runs from 500C to over 1400C with exposure durations on the scale of hours.

Kent A. Way
JA Woollam Ellipsometer Spectroscopy

The ellipsomster is non-contact measurement system for measuring the thickness and optical properties of thin films.  Our system samples over 44 discrete wavelengths, over the white light spectrum, and can incorporate varied incident angles as well.  Films from ~1um down to single nanometers can be measured with accuracy and repeatability.

Sarah An
Jipelec Rapid Thermal Anneal Annealing

The Jipelec RTA is a radiation based rapid thermalization tool capable of processing up to 6” wafers.  Offered atmospheric gas ambients include Ar, Ar/H2 and ethylene.  Controlled temperature capability runs from 300C to 1000C with exposure durations on the scale of minutes.

No volatiles, toxics, or glass without staff permission.

Sarah An
K&S Wire Ball Bonder Ancillary

The K&S 4524 Ball Bonder with digital controls is an advanced bench-top gold ball bonder capable of ultrasonically bonding gold wires from 18 - 75 micron.

Sarah An
K&S Wire Wedge Bonder Ancillary

The manual wedge wire bonder offers the versatility to bond simple discrete devices up to complex hybrid, microwave devices. Individual bond parameter and loop height control. Consistent tail length. Can be configured for gold or aluminum wire sizes from 0.7 to 3.0 mil. Includes heated work holder and stereo-zoom microscope.

Sarah An
Keithley 4200 IV/CV Meter (1) Electrical Testing

The Keithley 4200 is a modular and fully-integrated parameter analyzer that provides analysis into current-voltage and capacitance-voltage behavior.

Sarah An
Keithley 4200 IV/CV Meter (2) Electrical Testing

The Keithley 4200 is a modular and fully-integrated parameter analyzer that provides analysis into current-voltage and capacitance-voltage behavior.

Sarah An
Lindbergh 2” Single Tube Furnace Annealing

The Lindberg system is a high temperature convective tube furnace capable of processing up to 1.5” samples.  Offered atmospheric gas ambients include N2, Ar, and O2.  Controlled temperature capability runs from 500C to 1000C with exposure durations on the scale of hours.

 

Kent A. Way
Lindbergh 3” Metal Furnace Annealing

The Lindberg system is a high temperature convective tube furnace capable of processing up to 2.5” samples.  Offered atmospheric gas ambients include Ar and O2.  Controlled temperature capability runs from 500C to over 1200C with exposure durations on the scale of hours.

No volatiles, toxics, or glass without staff permission.  Metals below melting point are permitted.

Kent A. Way
Microtome Sample Preparation

RMC Powertome XL microtome is used for the preparation of thin sections of specimens for electron microscopy
 

Features:

  • Thick/thin sectioning ranges from 20-999 nm.
  • Cryostage compartment with a temperature control from -120 °C to room temperature.

Available Knives:

Deniz Rende
MJB3 Contact Aligner Lithography

The MBJ3 is broadband MUV contact lithography tool capable of reproducing linewidths down to 1um.  Diverse substrate types and sizes (up to 3 inch) can be accommodated.  There is a single field alignment microscope allowing for overlay tolerances of several microns.  

 

Sarah An
MPT Rapid Thermal Anneal Annealing

The MPT RTA is a radiation based rapid thermalization tool capable of processing up to 4” wafers.  Offered atmospheric gas ambients include N2 and O2.  Controlled temperature capability runs from 300C to 1000C with exposure durations on the scale of minutes.

No volatiles, toxics, or glass without staff permission.

Sarah An
MTI LPCVD Furnace Deposition

The MTI is an LPCVD system configured for graphene growth.  Supply gases include methane, argon, nitrogen and hydrogen.  Base vacuum is below 100mT and maximum operating temperature is 1100C.

Kent A. Way
Nanoindenter Mechanical Testing

The Hysitron TI900 Triboindenter is an automated, high-throughput system for a complete nanomechanical characterization.
 

Available Modes of Operation:

Deniz Rende
Nikon Optical Microscope Microscopy

Optical microscope with video capture capability.  Brightfield and darkfield observation modes can be used in conjunction with magnification lenses of 5x, 10x, 20x, 50x and 100x.

Sarah An
OAI Contact Aligner Lithography

The OAI contact aligner is broadband mid-UV exposure tool.  It can expose samples ranging in size from small pieces up to 8” wafers.  It has a dual optic alignment system capable of ~1um in mask to frontside overlay and ~20um in backside to frontside sample overlay.  Image size reproducibility is limited to 1um.

Sarah An
Ocean Optics Optical Spectrometer Spectroscopy

The USB4000-UV-VIS is a miniature spectrometer preconfigured for general UV-Vis measurements from 200-850 nm including absorption, transmission, reflectance and emission.

Kent A. Way
Olympus Optical Microscope Microscopy

Optical white light microscope with objective magnifications of 5x, 20x, 50x, and 100x.

Sarah An
Oxford Aztec EDS and EBSD System Diffraction

The Oxford AZTEC system is fitted out with a state of the art peltier cooled SDD x-ray detector. It has high count rate capabilities with an 80mm2 detector. The system also has a high sensitivity EBSD camera for doing Electron Backscattered Defraction imaging and mapping. The EBSD camera is mounted with a 4 channel fore scattering detector system for enhanced imaging and data acquisition. The system can do spectral analysis, mapping, linescans and point/area analysis. All the data can be exported to Word, or taken as raw data in the form of EMSA file.

M. David Frey
Oxford INCA EDS Spectroscopy

The Oxford INCA system has a 10mm2 detector with 140eV resolution. The system can do basic elemental analysis as well as mapping, line scans and point/ area analysis off of an image. All the data can be exported to Word, or taken as raw data in the form of EMSA file.

M. David Frey
Parylene Coater Deposition

The parlyene system deposits a highly conformal polymeric coating on any exposed sample surfaces.  The film thickness can be controlled from a few nanometers to multiple microns.  It’s commonly used as a vapor/permeation barrier and hydrophobic coating.  It is also well known for being biologically inert.

 

Sarah An
Photoresist Solvent Strip Lithography

The photoresist strip bench uses an NMP based organic solvent to dissolve most crosslinked and non-crosslinked photoresists.

Incompatible with copper and not effective on SU-8.

Sarah An
PicoSun Atomic Layer Deposition Deposition

The PicoSun ALD system is capable of running in both thermal and plasma enhanced mode.  The sample holder can accept up to 8” wafers and has a maximum operating temperature of 400C although many processes are enabled at significantly lower temps.  It’s configured for up to five precursor reagents and 3 plasma gases (N2, H2, and O2).  Maximum film thicknesses are typically less than 0.5um.  

No volatiles or toxics allowed. 

Sarah An
PlasmaTherm PECVD Deposition

The PlasmTherm system has one chamber dedicated to PECVD processing.  Standard recipes include silicon dioxide and silicon nitride depositions at a nominal operating temperature of 300C and 250C respectively.  The chamber can accommodate samples up to 8” in diameter.  Maximum film thickness top out at about 1um.   

No volatiles or toxics allowed. 

Sarah An
PlasmaTherm RIE Etching

The PlasmTherm system has one chamber dedicated to RIE processing.  Standard recipes are supplied for semiconductor material etching using fluorinated chemistries including SF6, CHF3, and CF4.  The chamber can accommodate samples up to 8” in diameter. 

No toxics. 

Sarah An
PlasMod O2 Asher Etching

The PlasMod is a compact oxygen plasma asher capable of processing up to 4” diameter samples.  A direct oxygen based RF plasma is used for multiple applications ranging from photoresist stripping, organic cleaning, and surface modification.

Sarah An
RCA Wet Bench Etching

The RCA wet bench is dedicated to CMOS compatible substrates only.  It is capable of up to 8” wafer processing and is solely used in conjunction with RCA chemistries.

CMOS-compatible samples only. 

Sarah An
Rigaku XtaLAB SynergyS Dual Source Single Crystal Diffractometer Diffraction

Rigaku's XtaLAB SynergyS Dual Source Single Crystal Diffractometer is a top-of-the-line instrument and features powerful X-ray beam from rotating anode with dual wavelength (Mo and Cu) coupled with Rigaku’s HyPix-6000HE Detector allowing extremely low noise, essentially zero deadtime and true shutterless data collection. It is also possible to collect data at 100K using the Oxford Cryosystems Cryostream 800 low temperature system. Data integration is carried out using CrysAlisPro.

Katharine Dovidenko
SemiTool Spin Rinse Dry Ancillary

The Spin Rinse Dryer sprays DI water through a dedicated rinse manifold. Water is then purged from the process chamber using heated N2. The system can only accommodate round wafers at fixed Semi standards (3”, 4”, 6” and 8”).

Kent A. Way
Solvent Fume Hood Ancillary

General purpose solvent fume hood.

Sarah An
Suss Manual 4 Probe Station Electrical Testing

The Suss probe station allows electrical test of up to 8” wafers using 4 manual micromanipulators.  The test station is also equipped with a heated stage, microscope video system, and an array of electrical test meters.

Sarah An
Suss PSM6 Probe Manual Station Electrical Testing

The Suss probe station allows electrical test of up to 6” wafers using 4 manual micromanipulators.  The test station is also equipped with a heated stage and set up to be used in conjunction with the Keithley 4200 parametric analyzer.

Sarah An
Temescal e-Beam Evaporator (1) Deposition

The Tesmescal is a cryo-pumped high vacuum system for PVD thin films.  Most commonly used as an electron beam technique it is also capable of thermal evaporations for some materials.  Users must provide their own source materials and crucible, if required.  The planetary can accommodate up to 8” samples.

No toxics or copper. 

John Barthel
Temescal e-Beam Evaporator (2) Deposition

The Tesmescal is a cryo-pumped high vacuum system for PVD thin films by electron beam evaporation.  Users must provide their own source materials and crucible, if required.  The planetary can accommodate up to 8” samples.

No toxics or gold. 

John Barthel
Tencor Flexus Stress Measurement Thin Films

The Flexus us a stress measurement instrument that measures the change in the radius of curvature of a wafer caused by the deposition of a thin film.  Given the properties of the substrate the software can then calculate the stress of the applied film and the thermal coefficient of expansion.  Wafers from 3” to 8” can be analyzed.

No volatiles if heated. 

Sarah An
Test Equipment Mechanical Testing

This is just a test so that I can build a template for everything.

Sarah An
Thermocarbo Dicing Saw Sample Preparation

The TCAR 864 is a diamond bladed programmable dicing saw.  It’s capable of cutting into, or through, multiple types of substrates with industry leading precision.  The machine can handle sample sizes ranging from pieces up to 6” wafers. 

No toxics. 

John Barthel
Tousimis Critical Point Dryer Sample Preparation

The Tousimis CPD is used to dry samples and reduce the damage introduced through “air” drying. It can also be used for releasing MEMS devices after their final etch. Staff guidance required.

M. David Frey
Trion RIE Etching

The Trion is an ICP-RIE system with both fluorinated and chlorinated chemistries.  The system has recipes for semiconductor, compound semiconductor, and metal plasma etching.  The ICP option allows for quasi-independent control of plasma density and ion bombardment energy reducing physical damage while retaining high etch rates and selectively.

No toxics.

Sarah An
UV Spectrophotometer 1 Spectroscopy

The Thermo Scientific Genesys 10 UV-Vis spectrophotometer operates in single wavelength mode with a range of 325 – 1100 nm with 1.0 nm accuracy. The instrument has a photometric range of 0.3 to 125% transmittance and -0.1 to 3.0 absorbance. Six-cell position models accommodate 10-mm square cuvettes or 10-mm, 1⁄2" dia test tubes.

Specifications

Deniz Rende
UV Spectrophotometer 2 Spectroscopy

The Perkin Elmer Lambda 950 UV-Vis-NIR spectrophotometer displays ultra-high UV/Vis/NIR performance for wavelengths up to 3300 nm, high precision measurements, and for applications such as highly reflective and anti-reflective coatings, color correction coatings. Its wavelength range is 175 – 3300 nm with less than 0.05nm resolution. It is a double beam, double monochromator, ratio recording UV/Vis/NIR spectrophotometer with microcomputer electronics. The detector is a Photomultiplier R6872 for high energy in the whole UV/Vis wavelength range.

Deniz Rende
Vacuum Bake Oven Annealing

This small oven can reach temperature of ~150C while maintaining a vacuum of 22 inHg.

Kent A. Way
Veeco Dimension 3100 AFM Microscopy

The D-3100 has a large sub-stage which can handle 6 inch wafers. It has the ability to do tapping and contact modes. The system has other modules for doing CAFM, TUNA, MFM, Wet cell images, and STM. The results of each of these modules are based on the proper imaging conditions being met and having proper control samples to verify system performance.

M. David Frey
X-Ray Photoelectron Spectroscope Spectroscopy

The XPS system provides detailed surface chemistry at the sub-10 nm scale. It operates by spectroscopy of photo-electrons emitted from the sample surface by incident X-Ray or ultra-violet photons. These photoelectron energies provide highly sensitive information on chemical species and bonding in the sample surface region. The spatial resolution of this technique is about 10 mm, with a spectral resolution of < 0.5 eV.

Katharine Dovidenko
YES Vacuum Oven Annealing

The YES oven can accommodate up to 8” wafers and pulls a vacuum level down to ~500mT.  The convective heater can maintain a chamber temperature up to 170C.

Kent A. Way
Zeiss Supra Nabity E-beam Lithography

The Zeiss SUPRA 55 is a FESEM with a Schottky thermal field emission source. It has an ultimate imaging resolution in the range of 2nm. The SUPRA has multiple detectors for Secondary Imaging as well as Backscatter. The system has been setup with a blanker and a control system that can be used for doing electron beam lithography. The Nabity system is able to read standard CAD files, DFX, and GDSII formats. In e-beam lithography mode 20nm-50nm feature sizes are attainable.

M. David Frey
Zyvex Nanoprobe System Electrical Testing

A 4 point probe system for doing test probing on a near nano-scale. It can be paired with one of the center’s Keithley Analyzers for electrical characterization. This system is staff operated only.

M. David Frey
Back to top