PlasmaTherm PECVD

Deposition

PlasmaTherm PECVD

The PlasmTherm system has one chamber dedicated to PECVD processing.  Standard recipes include silicon dioxide and silicon nitride depositions at a nominal operating temperature of 300C and 250C respectively.  The chamber can accommodate samples up to 8” in diameter.  Maximum film thickness top out at about 1um.   

No volatiles or toxics allowed. 

Rates & Fees

Choose a category from the dropdown list.