All Equipment

The cMDIS supports major experimental facilities:

The Micro and Nanofabrication Cleanroom (MNCR) contains a broad range of processing, measurement, and fabrication tools enabling researchers to create new strcutures, devices, and systems at the micro and nanoscale.
Click here for more information on instruments, scheduling and trainings.

The Nanoscale Characterization Core (NCC) provides a powerful suite of imaging, spectrometry, and diffraction instruments to interrogate structure, chemistry, and other properties from the atomic- to microscales.
Click here for more information on instruments, scheduling and trainings.

Polymer Processing and Characterization Core is a combination of laboratories to prepare and process polymers and composite materials with testing and characterization capabilities at the nano and micro scale.
Click here for more information on instruments, scheduling and trainings.

Annealing
Equipment Name Description Status Contact
Bruce Horizontal Furnace

The Bruce is a high temperature, three tube, atmospheric, horizontal furnace.  It can accept up to 5” wafers and has a maximum operating temperature of 1100C.  Tube 1 is dedicated to CMOS compatible dry oxidations.  Tube 2 is capable of dry oxidations, wet oxidation and inert anneals.  Tube 3 is

Working Sarah An
Despatch Convection Oven

The Despatch is a high uniformity convection oven commonly used for resist post bakes.  The oven is typically kept at 120C but has the ability to achieve ~200C.  All baking is at atmospheric pressure in room air.

Working Sarah An
Heatpulse Rapid Thermal Anneal

The Heatpulse RTA is a radiation based rapid thermalization tool capable of processing up to 4” wafers.  Offered atmospheric gas ambients include N2, Ar and O2.  Controlled temperature capability runs from 300C to 1000C with exposure durations on the scale of minutes.

Working Sarah An
High Temp 1” Single Tube Furnace

The anneal system is a high temperature convective tube furnace capable of processing up to 0.5” samples.  Offered atmospheric gas ambients include Ar and O2.  Controlled temperature capability runs from 500C to over 1400C with exposure durations on the scale of hours.

Working Kent A. Way
Jipelec Rapid Thermal Anneal

The Jipelec RTA is a radiation based rapid thermalization tool capable of processing up to 6” wafers.  Offered atmospheric gas ambients include Ar, Ar/H2 and ethylene.  Controlled temperature capability runs from 300C to 1000C with exposure durations on the scale of minutes.

Working Sarah An
Lindbergh 2” Single Tube Furnace

The Lindberg system is a high temperature convective tube furnace capable of processing up to 1.5” samples.  Offered atmospheric gas ambients include N2, Ar, and O2.  Controlled temperature capability runs from 500C to 1000C with exposure durations on the scale of hours.

 

Working Kent A. Way
Lindbergh 3” Metal Furnace

The Lindberg system is a high temperature convective tube furnace capable of processing up to 2.5” samples.  Offered atmospheric gas ambients include Ar and O2.  Controlled temperature capability runs from 500C to over 1200C with exposure durations on the scale of hours.

Not Working Kent A. Way
MPT Rapid Thermal Anneal

The MPT RTA is a radiation based rapid thermalization tool capable of processing up to 4” wafers.  Offered atmospheric gas ambients include N2 and O2.  Controlled temperature capability runs from 300C to 1000C with exposure durations on the scale of minutes.

Working Sarah An
Vacuum Bake Oven

This small oven can reach temperature of ~150C while maintaining a vacuum of 22 inHg.

Working Kent A. Way
YES Vacuum Oven

The YES oven can accommodate up to 8” wafers and pulls a vacuum level down to ~500mT.  The convective heater can maintain a chamber temperature up to 170C.

Working Kent A. Way
Deposition
Equipment Name Description Status Contact
AJA RF/DC Sputter Coater

The AJA Orion system is capable of both RF and DC based magnetron sputtering in a high vacuum turbo pumped chamber.  Four targets are installed concurrently and can enable co-sputtering.  3” samples can be heated, via an IR lamp, up to 600C.

No toxics. 

Working Kent A. Way
Applied Materials P5000 PECVD

The Applied Materials P5000 has two dedicated chambers for dielectric PECVD.  One utilizes a TEOS/O2 chemistry for silicon dioxide and the other is SiH4/NH3 capable for silicon nitrides.  Both can process up to 8” wafers and deposit films multiple microns thick.  Nominal operating temperature is

Working Sarah An
CVC DC Sputter Coater

The CVC is a cryo pumped high vacuum system for PVD sputtering of conductive targets. Up to 8” substrates can be processed and four targets are concurrently installed for use serially.

No toxics. 

Working Kent A. Way
Edwards Thermal Evaporator

The Edwards thermal evaporator is a cryo-pumped system for depositing thin films.  It is currently configured with two power sources capable of performing a binary sequential or co-evaporative process.

No toxics. 

Not Working John Barthel
MTI LPCVD Furnace

The MTI is an LPCVD system configured for graphene growth.  Supply gases include methane, argon, nitrogen and hydrogen.  Base vacuum is below 100mT and maximum operating temperature is 1100C.

Working Kent A. Way
Parylene Coater

The parlyene system deposits a highly conformal polymeric coating on any exposed sample surfaces.  The film thickness can be controlled from a few nanometers to multiple microns.  It’s commonly used as a vapor/permeation barrier and hydrophobic coating.  It is also well known for being biological

Working Sarah An
PicoSun Atomic Layer Deposition

The PicoSun ALD system is capable of running in both thermal and plasma enhanced mode.  The sample holder can accept up to 8” wafers and has a maximum operating temperature of 400C although many processes are enabled at significantly lower temps.  It’s configured for up to five precursor reagents

Working Sarah An
PlasmaTherm PECVD

The PlasmTherm system has one chamber dedicated to PECVD processing.  Standard recipes include silicon dioxide and silicon nitride depositions at a nominal operating temperature of 300C and 250C respectively.  The chamber can accommodate samples up to 8” in diameter.  Maximum film thickness top o

Working Sarah An
Temescal e-Beam Evaporator (1)

The Tesmescal is a cryo-pumped high vacuum system for PVD thin films.  Most commonly used as an electron beam technique it is also capable of thermal evaporations for some materials.  Users must provide their own source materials and crucible, if required.  The planetary can accommodate up to 8”

Working John Barthel
Temescal e-Beam Evaporator (2)

The Tesmescal is a cryo-pumped high vacuum system for PVD thin films by electron beam evaporation.  Users must provide their own source materials and crucible, if required.  The planetary can accommodate up to 8” samples.

No toxics or gold. 

Working John Barthel
Etching
Equipment Name Description Status Contact
Acid Wet Bench

The general purpose wet bench handles a majority of required aqueous chemistries within the cleanroom.  Small pieces through 8” wafers can be accommodated using various provided PTFE holders.

No solvents or heavy metals.

Working Sarah An
Flood UV Exposure / Ozone Clean

The UV flood exposure system is a fast and easy way to utilize ozone and oxygen radicals species to clean organics from a sample surface.  The system can also be used as a surface modifier for oxidation and terminal bonds.  Samples up to 6” in diameter can be processed in the machine.

Working Sarah An
PlasmaTherm RIE

The PlasmTherm system has one chamber dedicated to RIE processing.  Standard recipes are supplied for semiconductor material etching using fluorinated chemistries including SF6, CHF3, and CF4.  The chamber can accommodate samples up to 8” in diameter. 

No toxics. 

Working Sarah An
PlasMod O2 Asher

The PlasMod is a compact oxygen plasma asher capable of processing up to 4” diameter samples.  A direct oxygen based RF plasma is used for multiple applications ranging from photoresist stripping, organic cleaning, and surface modification.

Working Sarah An
RCA Wet Bench

The RCA wet bench is dedicated to CMOS compatible substrates only.  It is capable of up to 8” wafer processing and is solely used in conjunction with RCA chemistries.

CMOS-compatible samples only. 

Working Sarah An
Trion RIE

The Trion is an ICP-RIE system with both fluorinated and chlorinated chemistries.  The system has recipes for semiconductor, compound semiconductor, and metal plasma etching.  The ICP option allows for quasi-independent control of plasma density and ion bombardment energy reducing physical damage

Working Sarah An
Lithography
Equipment Name Description Status Contact
Developing Bench

The develop bench is used as part of the lithography process to dissolve away non-cross linked polymeric films (photoresist).  Submersion based development can be accommodated for samples up to 8” in diameter.

Aqueous hydroxide based develop solutions only.

Working Sarah An
Headway Manual Spin Coater

This Headway system is used is spin coat liquid materials, solutions or suspensions.  The spindle is controlled manually using the installed rotational tachometer.  Up to 4” substrates can be processed.

 

Working Sarah An
Headway Spin Coater

The Headway spin coater uses centrifugal force to uniformly coat fluids across a substrate surface.

Working Sarah An
MJB3 Contact Aligner

The MBJ3 is broadband MUV contact lithography tool capable of reproducing linewidths down to 1um.  Diverse substrate types and sizes (up to 3 inch) can be accommodated.  There is a single field alignment microscope allowing for overlay tolerances of several microns.  

 

Working Sarah An
OAI Contact Aligner

The OAI contact aligner is broadband mid-UV exposure tool.  It can expose samples ranging in size from small pieces up to 8” wafers.  It has a dual optic alignment system capable of ~1um in mask to frontside overlay and ~20um in backside to frontside sample overlay.  Image size reproducibility is

Working Sarah An
Photoresist Solvent Strip

The photoresist strip bench uses an NMP based organic solvent to dissolve most crosslinked and non-crosslinked photoresists.

Incompatible with copper and not effective on SU-8.

Working Sarah An
Zeiss Supra Nabity E-beam

The Zeiss SUPRA 55 is a FESEM with a Schottky thermal field emission source. It has an ultimate imaging resolution in the range of 2nm. The SUPRA has multiple detectors for Secondary Imaging as well as Backscatter.

Working M. David Frey
Ancillary
Equipment Name Description Status Contact
Allied High Tech Polish/Grind/Lap

The Allied MultiPrep system enables precise semiautomatic sample preparation of a wide range of materials for microscopic (optical, SEM, FIB, TEM, AFM, etc.) evaluation.

Working Sarah An
CorSolutions Microfluidic Station

This probe station makes quick, non-permanent, compression connections to microfluidic devices. High precision, programmable pumps can deliver minute constant controlled flows or flows with biomimetic modulations.

Working Sarah An
Electrostatic manipulator

Electrostatic manipulator can be used to lift-out TEM samples as well as lift and move micron sized flakes and particles into positions of interest.

Working M. David Frey
FineTech Die Bonder

The Fineplacer system is a multipurpose bonder which offers ~5um placement accuracy.  This versatile platform is used for various applications such a flip chip bonding, die bonding and micro-assembly.

Working Sarah An
K&S Wire Ball Bonder

The K&S 4524 Ball Bonder with digital controls is an advanced bench-top gold ball bonder capable of ultrasonically bonding gold wires from 18 - 75 micron.

Working Sarah An
K&S Wire Wedge Bonder

The manual wedge wire bonder offers the versatility to bond simple discrete devices up to complex hybrid, microwave devices. Individual bond parameter and loop height control. Consistent tail length. Can be configured for gold or aluminum wire sizes from 0.7 to 3.0 mil.

Working Sarah An
SemiTool Spin Rinse Dry

The Spin Rinse Dryer sprays DI water through a dedicated rinse manifold. Water is then purged from the process chamber using heated N2. The system can only accommodate round wafers at fixed Semi standards (3”, 4”, 6” and 8”).

Working Kent A. Way
Solvent Fume Hood

General purpose solvent fume hood.

Working Sarah An
Diffraction
Equipment Name Description Status Contact
Oxford Aztec EDS and EBSD System

The Oxford AZTEC system is fitted out with a state of the art peltier cooled SDD x-ray detector. It has high count rate capabilities with an 80mm2 detector. The system also has a high sensitivity EBSD camera for doing Electron Backscattered Defraction imaging and mapping.

Working M. David Frey
Rigaku XtaLAB SynergyS Dual Source Single Crystal Diffractometer

Rigaku's XtaLAB SynergyS Dual Source Single Crystal Diffractometer is a top-of-the-line instrument and features powerful X-ray beam from rotating anode with dual wavelength (Mo and Cu) coupled with Rigaku’s HyPix-6000HE Detector allowing extremely low noise, essentially zero deadtime and true shu

Working Katharine Dovidenko
Electrical Testing
Equipment Name Description Status Contact
Accent Hall Effect Measurement

The Accent hall effect tool is a turn-key system for measurement of resistivity, carrier concentration and mobility semiconductor materials. Samples can have Van der Pauw, bar, or bridge shaped geometries can all be used.

Working Sarah An
Keithley 4200 IV/CV Meter (1)

The Keithley 4200 is a modular and fully-integrated parameter analyzer that provides analysis into current-voltage and capacitance-voltage behavior.

Working Sarah An
Keithley 4200 IV/CV Meter (2)

The Keithley 4200 is a modular and fully-integrated parameter analyzer that provides analysis into current-voltage and capacitance-voltage behavior.

Working Sarah An
Suss Manual 4 Probe Station

The Suss probe station allows electrical test of up to 8” wafers using 4 manual micromanipulators.  The test station is also equipped with a heated stage, microscope video system, and an array of electrical test meters.

Working Sarah An
Suss PSM6 Probe Manual Station

The Suss probe station allows electrical test of up to 6” wafers using 4 manual micromanipulators.  The test station is also equipped with a heated stage and set up to be used in conjunction with the Keithley 4200 parametric analyzer.

Working Sarah An
Zyvex Nanoprobe System

A 4 point probe system for doing test probing on a near nano-scale. It can be paired with one of the center’s Keithley Analyzers for electrical characterization. This system is staff operated only.

Not Working M. David Frey
Mechanical Testing
Equipment Name Description Status Contact
Nanoindenter

The Hysitron TI900 Triboindenter is an automated, high-throughput system for a complete nanomechanical characterization.
 

Available Modes of Operation:

Working Deniz Rende
Microscopy
Equipment Name Description Status Contact
Atomic Force Microscope

Digital Instruments Multimode IIIa Atomic Force Microscope (AFM) can obtain 3D surface topography at nanometer scale resolution, to measure contact and friction forces between surfaces.

Working Deniz Rende
Carl Zeiss 1540EsB Crossbeam

The Zeiss 1540EsB Crossbeam is a FIB/SEM system. It has a FESEM electron column which has excellent imaging performance with resolution approaching the 2nm range. It also has a Ga ion column which allows for milling (sputtering) of materials from the sample.

Working M. David Frey
Carl Zeiss Supra 55 FESEM

The Zeiss SUPRA 55 is a FESEM with a Schottky thermal field emission source. It has an ultimate imaging resolution in the range of 2nm. The SUPRA has multiple detectors for Secondary Imaging as well as Backscatter.

Working M. David Frey
Dual Beam SEM and FIB

The VERSA 3D Dual Beam combines scanning electron microscopy (SEM) and Focused Ion Beam (FIB) in a single platform that allows switching between two modes of operation. The samples can be milled via ion beam and deposited with platinum while observing the process.

Working Katharine Dovidenko
Nikon Optical Microscope

Optical microscope with video capture capability.  Brightfield and darkfield observation modes can be used in conjunction with magnification lenses of 5x, 10x, 20x, 50x and 100x.

Working Sarah An
Olympus Optical Microscope

Optical white light microscope with objective magnifications of 5x, 20x, 50x, and 100x.

Working Sarah An
Veeco Dimension 3100 AFM

The D-3100 has a large sub-stage which can handle 6 inch wafers. It has the ability to do tapping and contact modes. The system has other modules for doing CAFM, TUNA, MFM, Wet cell images, and STM.

Not Working M. David Frey
Spectroscopy
Equipment Name Description Status Contact
Auger Electron Spectroscopy

The AES system provides detailed surface chemistry at the sub-10 nm scale. It operates by spectroscopy of Auger electrons (a multi-electron emission process) that are generated by an ultra-fine scanning electron probe.

Working Katharine Dovidenko
Confocal Raman Microscope

WITec Alpha 300R Confocal Raman imaging system has the ability to acquire chemical information non-destructively with a high resolution (~ 200 nm). The system is equipped with 532 nm green laser, max. 17 mW power.

Raman General Operation Modes:

Working Deniz Rende
Fourier Transform Infrared Spectroscopy

The Perkin Elmer Spectrum One FT-IR Spectrometer works in a range of 4000 - 350 cm-1 with a 0.5 cm-1 resolution and equipped with a mid-range Deuterated triglycine sulfate (DTGS) infrared detector.

Available sample holders

Working Deniz Rende
JA Woollam Ellipsometer

The ellipsomster is non-contact measurement system for measuring the thickness and optical properties of thin films.  Our system samples over 44 discrete wavelengths, over the white light spectrum, and can incorporate varied incident angles as well.  Films from ~1um down to single nanometers can

Working Sarah An
Ocean Optics Optical Spectrometer

The USB4000-UV-VIS is a miniature spectrometer preconfigured for general UV-Vis measurements from 200-850 nm including absorption, transmission, reflectance and emission.

Working Kent A. Way
Oxford INCA EDS

The Oxford INCA system has a 10mm2 detector with 140eV resolution. The system can do basic elemental analysis as well as mapping, line scans and point/ area analysis off of an image. All the data can be exported to Word, or taken as raw data in the form of EMSA file.

Working M. David Frey
UV Spectrophotometer 1

The Thermo Scientific Genesys 10 UV-Vis spectrophotometer operates in single wavelength mode with a range of 325 – 1100 nm with 1.0 nm accuracy. The instrument has a photometric range of 0.3 to 125% transmittance and -0.1 to 3.0 absorbance.

Working Deniz Rende
UV Spectrophotometer 2

The Perkin Elmer Lambda 950 UV-Vis-NIR spectrophotometer displays ultra-high UV/Vis/NIR performance for wavelengths up to 3300 nm, high precision measurements, and for applications such as highly reflective and anti-reflective coatings, color correction coatings.

Working Deniz Rende
X-Ray Photoelectron Spectroscope

The XPS system provides detailed surface chemistry at the sub-10 nm scale. It operates by spectroscopy of photo-electrons emitted from the sample surface by incident X-Ray or ultra-violet photons.

Working Katharine Dovidenko
Thin Films
Equipment Name Description Status Contact
4pt Sheet Resistance

The co-linear four point probe system measures sheet resistance values from 0.1 milli-ohm per square up to 99 kilo-ohm per square.  Thin films on samples less than 3” in diameter can be used with the system.

Working Sarah An
Bruker Optical Profilometer

The optical profilometer is a non-contact method to study sample surface topography in three dimensions.  Vertical resolution can be on the order of ten of nanometers with a trade off in field size.  Samples of all sizes can typically be accommodated.

Working John Barthel
Dektak 6 Profilometer

The Dektak 6 is a semi-manual contact profilometer capable of measuring vertical steps from tens of nanometers to 1mm in depth.

Working Sarah An
Dektak 8 Profilometer

The Dektak 8 is a contact profilometer capable of measuring vertical steps from tens of nanometers to 1mm in depth.  Scan lengths of up to 50mm can be used on samples as large as 8” wafers.

Working Sarah An
Filmetrics F40 Interferometer

The Filmetrics F40 interferometer provides users with rapid thin film thickness and optical constants measurements on most common device film stacks.  A 30um spot size can be placed on patterned samples, from pieces up to 8” wafers.  Transparent films from ~50nm to tens of microns can be measured

Working Sarah An
Tencor Flexus Stress Measurement

The Flexus us a stress measurement instrument that measures the change in the radius of curvature of a wafer caused by the deposition of a thin film.  Given the properties of the substrate the software can then calculate the stress of the applied film and the thermal coefficient of expansion.  Wa

Working Sarah An
Sample Preparation
Equipment Name Description Status Contact
Denton Pt Sputter System

The Denton is a tabletop sputter coater most commonly used for SEM sample preparation.  It is equipped with a platinum target for depositing a very thin conductive film on the sample surface.  Maximum sample size is approximately 2”.

 

Working M. David Frey
Microtome

RMC Powertome XL microtome is used for the preparation of thin sections of specimens for electron microscopy
 

Features:

Working Deniz Rende
Thermocarbo Dicing Saw

The TCAR 864 is a diamond bladed programmable dicing saw.  It’s capable of cutting into, or through, multiple types of substrates with industry leading precision.  The machine can handle sample sizes ranging from pieces up to 6” wafers. 

No toxics. 

Working John Barthel
Tousimis Critical Point Dryer

The Tousimis CPD is used to dry samples and reduce the damage introduced through “air” drying. It can also be used for releasing MEMS devices after their final etch. Staff guidance required.

Working M. David Frey
Choose a category from the dropdown list.